Q.1
What do you use to perform basic logic functions in VHDL while creating concurrent code?
  • a) Operators
  • b) If statement
  • c) PROCESS
  • d) GENERATE
Q.2
In the implementation of following function by using NAND keyword only, can be done in _____ operations. X = A'B + AB'
  • a) 2
  • b) 3
  • c) 4
  • d) 5
Q.3
The maximum number of parameters in port map() function while implementing logic function using gates only, is equal to ____________
  • a) Number of inputs
  • b) Number of outputs
  • c) Number of inputs + number of outputs
  • d) Infinite
Q.4
Which of the following is not representing a nibble?
  • a) x<= “0101”
  • b) x<= STD_LOGIC_VECTOR (0 TO 4)
  • c) x<= STD_LOGIC_VECTOR(3 DOWNTO 0)
  • d) x<= BIT_VECTOR (1 TO 4)
Q.5
In designing logic functions in VHDL, we can use arithmetic operators.
  • a) True
  • b) False
Q.6
A “Multiplication bylogic is to be designed by using the VHDL code, which of the following operator can be used to implement the same?
  • a) SRL
  • b) SRA
  • c) SLA
  • d) SLL
Q.7
What kind of logic is represented by the given code? ARCHITECTURE my_func OF my_logic IS BEGIN y <= x SRLEND my_func;
  • a) Divide by 2
  • b) Divide by 4
  • c) Multiply by 2
  • d) Multiply by 4
Q.8
What information is not provided by the given logic’s output? ARCHITECTURE my_func OF my_logic IS BEGIN y <= x SRLEND my_func;
  • a) Result of the operation
  • b) Operands used
  • c) Remainder of the operation
  • d) Everything about the operation will be determined
Q.9
A user wants to implement a logic by using VHDL. In which he has inputs from two sensors which are smoke sensor and water level detector. If any input is high, he has to turn on the respective alarm. Which of the following is representing the correct code for the given logic?
  • a)
  • b)
  • c)
  • d)
Q.10
Optimized implementation of Boolean functions reduces the cost of implementation.
  • a) True
  • b) False
0 h : 0 m : 1 s